デザインへの変更の保存 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: デザイン フローの概要 (UG892)

Document ID
UG892
Release Date
2022-04-20
Version
2022.1 日本語

Vivado IDE では、メモリでアクティブ デザインをインタラクティブに編集します。制約やネットリストを変更したり、消費電力解析特性、ハードウェア コンフィギュレーションのモード パラメーター、デバッグ設定などのデザイン パラメーターを変更した場合などは、必ずデザインを保存するようにしてください。開いたデザインをインタラクティブに編集する場合、次のセクションに示すように、その変更点は元の XDC ファイルに保存するか、新しい制約セットに保存できます。