プロジェクト モードでの Tcl コマンドの使用 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: デザイン フローの概要 (UG892)

Document ID
UG892
Release Date
2022-04-20
Version
2022.1 日本語

次の表に、プロジェクトの作成、インプリメンテーション、レポートを制御するプロジェクト モードの基本的な Tcl コマンドを示します。

ヒント: デザイン タスクに関連する Tcl コマンドを理解するには、Vivado IDE でコマンドを実行し、[Tcl Console] ウィンドウまたは vivado.jou ファイルで構文を確認するのが最適な方法です。
表 1. プロジェクト モードでの基本 Tcl コマンド
コマンド 説明
create_project Vivado Design Suite プロジェクトを閉じます。引数としてプロジェクト名、保存場所、デザインの最上位モジュール名、ターゲット パーツを指定します。
add_files

プロジェクトにソース ファイルを追加します。ソース ファイルには、Verilog (.v)、VHDL (.vhd または .vhdl)、SystemVerilog (.sv)、IP および System Generator モジュール (.xco または .xci)、IP インテグレーター サブシステム (.bd)、および XDC 制約 (.xdc または .sdc) を選択できます。

ファイルを個別に追加するか、またはディレクトリ全体を指定して有効なファイルが自動的に追加されるようにすることもできます。

注記: UltraScale デバイスのデザインでは .xco ファイルはサポートされなくなっています。
set_property Vivado Design Suite でさまざまな目的で使用されます。プロジェクトでは、ソースの VHDL ライブラリ、シミュレーションのみのソース、ターゲット制約ファイル、ツール設定などを定義できます。
import_files 指定のファイルを現在のファイル セットにインポートし、プロジェクトに追加します。XDC ファイルを制約セットに割り当てるのにも使用します。

launch_runs

launch_runs -to_step

合成、インプリメンテーション、またはビットストリーム生成を開始します。このコマンドには、個々のインプリメンテーション コマンドと、run の完了後に標準レポートを生成するコマンドが含まれます。すべてのインプリメンテーション プロセスを 1 つのコマンドで実行し、進行状況を管理します。-to_step オプションを使用すると、個々のインプリメンテーション コマンドおよびビットストリーム生成を個別に実行できます。
wait_on_run run が完了するまで待ってから Tcl スクリプトの次のコマンドを実行します。
open_run 合成済みデザインまたはインプリメント済みデザインをレポートおよび解析用に開きます。レポートや解析の Tcl を使用して情報を取得するには、デザインを開いておく必要があります。
close_design インメモリデザインを閉じます。

start_gui

stop_gui

メモリ上でアクティブ デザインを Vivado IDE で開く、または閉じます。
注記: このガイドには、使用可能な Tcl コマンドすべての説明が含まれるわけではありません。詳細は、
『Vivado Design Suite Tcl コマンド リファレンス ガイド』 (UG835) を参照してください。