プロジェクトの作成 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: デザイン フローの概要 (UG892)

Document ID
UG892
Release Date
2022-04-20
Version
2022.1 日本語

Vivado Design Suite では、デザインの異なる目的に応じてさまざまなプロジェクト タイプがサポートされています。たとえば、RTL ソースやサードパーティ合成ツールからの合成済みネットリストを使用してプロジェクトを作成できるほか、空の I/O プランニング プロジェクトを作成し、デバイスを調べて早期ピン プランニングを実行することもできます。Vivado IDE では、選択したプロジェクト タイプに関連するコマンドのみが表示されます。

Vivado IDE で Create Project ウィザードを使用すると、プロジェクトを簡単に作成できます。ウィザードを使用すると、プロジェクト名やプロジェクトを保存するディレクトリ、プロジェクト タイプ (RTL、ネットリストなど)、ターゲット パーツなどを定義できます。RTL、IP、ブロック デザイン、XDC/SDC 制約、シミュレーション テストベンチ、System Generator からの DSP モジュール (IP)、Vivado 高位合成 (HLS) からのソース、デザインに関する文書など、さまざまなタイプのソースを追加できます。ソースを追加する際は、ソースを元の場所から参照するか、プロジェクト ディレクトリにコピーするかを指定できます。Vivado Design Suite では各ファイルのタイムスタンプが監視され、ステータスがレポートされます。ファイルが変更されると、ソースまたはデザインのステータスが最新でなくなったことが示されます。詳細は、 『Vivado Design Suite ユーザー ガイド: システム レベル デザイン入力』 (UG895) のこのセクションを参照してください。

注意:
Windows OS にはパスの長さに 260 文字の制限があり、この制限が Vivado ツールに影響することがあります。この問題を回避するには、プロジェクトを作成、IP プロジェクトまたは Manage IP プロジェクトを定義、ブロック デザインを作成する際に、名前およびディレクトリ名をできるだけ短くしてください。