ロジック合成およびインプリメンテーションの実行 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: デザイン フローの概要 (UG892)

Document ID
UG892
Release Date
2022-04-20
Version
2022.1 日本語

非プロジェクト モードでは、設定可能な Tcl コマンドを使用して各インプリメンテーション ステップが実行され、デザインはメモリでコンパイルされます。インプリメンテーション ステップは、「非プロジェクト モードの Tcl スクリプトの例」に示すように、特定の順序で実行する必要があります。必要に応じて、power_opt_design または phys_opt_design などのステップを実行することもできます。非プロジェクト モードでは、プロジェクト モードでしかサポートされない run ストラテジの代わりに、さまざまなコマンドを使用してツール動作を制御できます。詳細は、 『Vivado Design Suite ユーザー ガイド: インプリメンテーション』 (UG904) を参照してください。

重要なデザイン ステップの実行後は、デザイン解析および制約の定義のためにデザイン チェックポイントを書き出しておきます。デザイン チェックポイントは、ビットストリームの生成を除き、デザイン プロセスを続行するための開始ポイントとしては使用できません。これらは、単に解析および制約定義のためのデザインのスナップショットにすぎません。

ヒント: 各デザイン ステップの実行後に Vivado IDE を起動して、「Vivado IDE を使用したデザイン解析」で説明されている方法に従い、インタラクティブなグラフィカル デザイン解析および制約定義をアクティブ デザインで実行できます。