非プロジェクト モード - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: デザイン フローの概要 (UG892)

Document ID
UG892
Release Date
2022-04-20
Version
2022.1 日本語

ソースやデザイン プロセスをユーザーが管理する方法として、インメモリ コンパイル フローを使用する方法もあり、これは「非プロジェクト モード」と呼ばれます。インメモリ コンパイルを使用すると、プロジェクト設定が非プロジェクトベースのデザインに適用されます。非プロジェクト モードでは、Tcl コマンドまたはスクリプトを使用してデザイン ソースおよびデザイン プロセスをユーザーが管理します。フローの各段階をユーザーが完全に制御できるのが主な利点です。

非プロジェクト モードを使用する場合、ソース ファイルがリビジョン管理システムなどの現在のディレクトリから読み出され、デザインがメモリ内でコンパイルされます。各デザイン段階は、Tcl コマンドを使用して個別に実行できます。Tcl コマンドを使用し、デザイン パラメーターとインプリメンテーション オプションを設定することもできます。

デザイン プロセスの任意段階で、デザイン チェックポイントを保存し、レポートを生成できます。各インプリメンテーション段階は、特定の設計課題を満たすように調整可能で、各段階の後で結果を解析できます。また、どの段階でも Vivado IDE を開いて、デザインを解析したり、制約を設定したりできます。

非プロジェクト モードでは、各デザイン手順は Tcl コマンドを使用して制御されます。次に例を示します。

  • 合成後に HDL ファイルを変更した場合、合成を再実行してメモリ内のネットリストをアップデートするのはユーザーの責任です。
  • 配線後のタイミング レポートが必要な場合は、配線が完了した後にユーザーがタイミング レポートを生成する必要があります。
  • デザイン パラメーターおよびインプリメンテーション オプションは、Tcl コマンドおよびパラメーターを使用して設定します。
  • デザイン プロセスの任意段階で、Tcl を使用してデザイン チェックポイントを保存し、レポートを生成できます。

デザイン フローを進めて行くと、デザインは Vivado Design Suite でメモリ内に保持されます。非プロジェクト モードでは、各セッション後にインメモリ デザインが削除され、指定したデータのみがディスクに書き込まれます。非プロジェクト モードの詳細は、非プロジェクト モードの使用を参照してください。