get_nets コマンド - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: Tcl スクリプト機能の使用 (UG894)

Document ID
UG894
Release Date
2022-06-08
Version
2022.1 日本語

get_nets コマンドを使用すると、ネットがデザイン階層を通過するため、同じネットが異なる表現で返されることがあります。次に、get_nets コマンドのオプションを使用して、ネットの適切な表現が選択されるようにする例をいくつか示します。

次の例では、次のように定義された LUT2 の最下位ピンを使用して、最下位ピンに接続されているネットの異なるセグメントをクエリします。

set mypin [get_pins{egressLoop[7].egressFifo/buffer_fifo/infer_fifo.wr_addr_reg[9]_i_1__6/I0}]
mark_objects -color green $mypin
図 1. mypin 例の設定
Page-1 Sheet.2

単純に最下位ピン mypin に接続されているネットを取得すると、階層内の最下位ピンに直接接続されているネット セグメントが返されます。

select_objects [get_nets -of $mypin]

最下位ピン mypin に接続されているネットのすべてのセグメントを選択するには、-segments オプションを使用します。

select_objects [get_nets -segments -of $mypin]
図 2. get_nets でのセグメント取得の例
Page-1 Sheet.2

リーフ ピン mypin に接続される階層の最上位のネット セグメントのみを取得するには、-top_net_of_hierarchical_group オプションと共に -segments オプションを使用します。これは、ネット名を最小文字数で表示するので、デバッグ文を表示する際に有益です。

select_objects [get_nets -top_net_of_hierarchical_group -segments -of $mypin]
図 3. get_nets での最上位セグメント取得の例
Page-1 Sheet.2
図 4. ピンの get_nets の例
Page-1 Sheet.2