カスタム デザイン ルール チェック (DRC) の作成 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: Tcl スクリプト機能の使用 (UG894)

Document ID
UG894
Release Date
2022-06-08
Version
2022.1 日本語

Vivado Design Suite では、Tcl でカスタム デザイン ルール チェック (DRC) を定義し、使用できます。カスタム DRC を作成する際は、次の点に注意してください。

  • デザイン オブジェクトまたはデザイン オブジェクトの属性、およびデザイン ルールを定義するチェック関数を取得する Tcl チェッカー プロシージャを記述します。Tcl チェッカー プロシージャは別の Tcl スクリプトで定義し、report_drc を実行する前に Vivado Design Suite に読み込む必要があります。Tcl チェッカー プロシージャ内では、create_drc_violation コマンドを使用してデザインでルールをチェックした際に検出される違反を指定します。create_drc_violation コマンドは違反オブジェクトをインメモリ デザインに作成します。そのプロパティは、Vivado Design Suite でレポートしてさらに処理できます。
  • create_drc_check コマンドを使用して、Tcl チェッカー プロシージャをユーザー定義 DRC に関連付けます。report_drc コマンドを実行する際、このルールを名前で呼び出します。
  • create_drc_ruledeck コマンドを使用して、DRC ルール デックを作成します (オプション)。DRC ルール デックは、複数の DRC をグループ化したものです。作成した DRC ルール デックには、add_drc_checks コマンドを使用して、ユーザー定義 DRC およびあらかじめ定義された DRC を追加できます。
  • report_drc コマンドを実行し、デザインをデザイン ルールに対してチェックします。report_drc を実行する際、DRC ルール デック、ユーザー定義デザイン ルール チェック、またはあらかじめ定義された DRC を指定できます。