ヘルプの表示 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: Tcl スクリプト機能の使用 (UG894)

Document ID
UG894
Release Date
2022-06-08
Version
2022.1 日本語

Tcl コンソールでヘルプ情報を取得できます。すべての Vivado コマンドで -help オプションがサポートされており、コマンド ラインの任意の位置で使用できます。

次に例を示します。

Vivado% create_clock -help
Vivado% create_clock -name CLK1 -period 10 -help

また、help コマンドを使用してもヘルプ情報を表示できます。help コマンドでコマンド名を指定すると (help < command >)、< command > -help を使用した場合と同じ情報が表示されます。

Vivado% help create_clock

help コマンドで -args オプションを使用すると、引数の簡単な説明のみを表示できます。

Vivado% help create_clock -args
create_clock
Description: 
Create a clock object
Syntax: 
create_clock -period <arg> [-name <arg>] [-waveform <args>] [-add] [-quiet] 
[-verbose] [<objects>]
Returns: 
new clock object
Usage: 
  Name     Description
  ------------------------
  -period   Clock period: Value > 0
  [-name]   Clock name
  [-waveform] Clock edge specification
  [-add]    Add to the existing clock in source_objects
  [-quiet]   Ignore command errors
  [-verbose]  Suspend message limits during command execution
  [<objects>] List of clock source ports, pins or nets

また、-syntax オプションを使用すると、コマンド構文のみを表示できます。

Vivado% help create_clock -syntax
create_clock
Syntax: 
create_clock -period <arg> [-name <arg>] [-waveform <args>] [-add] 
[-quiet][-verbose] [<objects>]

help コマンドを使用すると、特定のコマンドのヘルプ情報だけでなく、コマンドのカテゴリおよびプロジェクトのクラスに関する情報も表示できます。カテゴリのリストを取得するには、help コマンドを引数またはオプションを使用せずに実行します。次に、コマンド カテゴリの一部を示します。

Vivado% help
ChipScope
DRC
FileIO
Floorplan
GUIControl
IPFlow
Object
PinPlanning
Power
Project
PropertyAndParameter
Report
SDC
Simulation
TclBuiltIn
Timing
ToolLaunch
Tools
XDC

各カテゴリのコマンドのリストを取得するには、-category オプションを使用します。たとえば、次のコマンドを実行すると、Tools カテゴリのすべてのコマンドが表示されます。

Vivado% help -category tools
Topic      Description
link_design   Open a netlist design
list_features  List available features.
load_features  Load Tcl commands for a specified feature.
opt_design    Optimize the current netlist. This will perform the retarget,
                     propconst, and sweep optimizations by default.
phys_opt_design Optimize the current placed netlist.
place_design   Automatically place ports and leaf-level instances
route_design   Route the current design
synth_design   Synthesize a design using Vivado Synthesis and open that design