Tcl スクリプトを使用したプロジェクトの作成 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: システム レベル デザイン入力 (UG895)

Document ID
UG895
Release Date
2022-05-11
Version
2022.1 日本語

write_project_tcl コマンドを使用すると、現在のプロジェクトを作り直す Tcl スクリプトを生成できます。スクリプトにはプロジェクト設定とソースが保持されますが、出力ファイルやデザイン ステートは保持されない可能性があります。

Vivado IDE では、Tcl スクリプトを使用してプロジェクトを作成することもできます。Vivado IDE で操作を実行すると、ほとんどの場合 Tcl コマンドが実行されます。Tcl コマンドは Vivado IDE の Tcl コンソールに表示され、vivado.jou および vivado.log ファイルにも保存されます。vivado.jou ファイルにはコマンドだけが、vivado.log ファイルにはコマンドと返されたメッセージがすべて含まれます。これらのファイルを使用して、プロジェクト モードで使用するスクリプトを開発できます。vivado.jou およびログ ファイルの保存ディレクトリについては、 『Vivado Design Suite ユーザー ガイド: Vivado IDE の使用』 (UG893) の付録 A の「出力ファイル」を参照してください。

Tcl コマンドの詳細は、 『Vivado Design Suite Tcl コマンド リファレンス ガイド』 (UG835) を参照してください。

次は、プロジェクトを作成し、さまざまなソースを追加し、設定をコンフィギュレーションし、合成およびインプリメンテーション run を開始し、ビットストリームを作成するスクリプト例です。

# Typical usage: vivado -mode tcl -source run_bft_project.tcl
# Create the project and directory structure
create_project -force project_bft_batch ./project_bft_batch -part xc7k70tfbg484-2
#
# Add various sources to the project
add_files {./Sources/hdl/FifoBuffer.v ./Sources/hdl/async_fifo.v \
./Sources/hdl/bft.vhdl}
add_files -fileset sim_1 ./Sources/hdl/bft_tb.v
add_files ./Sources/hdl/bftLib/
add_files -fileset constrs_1 ./Sources/bft_full.xdc
#
# Now import/copy the files into the project
import_files -force
#
# Set VHDL library property on some files
set_property library bftLib [get_files {*round_*.vhdl core_transform.vhdl \
bft_package.vhdl}]
#
# Update to set top and file compile order
update_compile_order -fileset sources_1
update_compile_order -fileset sim_1
#
# Launch Synthesis
launch_runs synth_1
wait_on_run synth_1
open_run synth_1 -name netlist_1
#
# Generate a timing and power reports and write to disk
# Can create custom reports as required
report_timing_summary -delay_type max -report_unconstrained -check_timing_verbose \
-max_paths 10 -input_pins -file syn_timing.rpt
report_power -file syn_power.rpt
#
# Launch Implementation
launch_runs impl_1 -to_step write_bitstream
wait_on_run impl_1
#
# Generate a timing and power reports and write to disk
# comment out the open_run for batch mode
open_run impl_1
report_timing_summary -delay_type min_max -report_unconstrained \
-check_timing_verbose -max_paths 10 -input_pins -file imp_timing.rpt
report_power -file imp_power.rpt
#
# Can open the graphical environment if visualization desired
# comment out the for batch mode
#start_gui
ヒント: Tcl スクリプトの行はバックスラッシュ (\) を行の最後に追加して行が続くことを示すと改行できます。バックスラッシュの後の行は、前の行の一部として処理されます。