ピン マップ - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: システム レベル デザイン入力 (UG895)

Document ID
UG895
Release Date
2022-05-11
Version
2022.1 日本語

<pin_map> セクションでは、各物理ポートが 1 つまたは複数のピンに分けられています。ピン マップでのピン数は、マップされているポートの幅によって決まります。ピンは、そのピンが定義されているインターフェイスの物理ポートで共有可能です。

各 <pin_map> には、バス ポートのインデックスにマップする port_index 属性、そしてザイリンクス デバイスのパッケージ ピンにマップする component_pin 属性があります。これらは次のように定義されます。

表 1. <pin_map> の属性
タグ 使用方法/説明 例 (KC705)
port_index= <port_map> で定義されているバス ポートのインデックスを示します。これは、ポート幅で定義されている範囲内の数値になります。 3
component_pin= ザイリンクス デバイスのコンポーネントピン名です。component_pin 名は、FPGA タイプの <component> の part0_pins.xml ファイルの name= にマップされます。part0_pins.xml は、ボード ファイルと同じフォルダーにあります。 GPIO_DIP_SW0

一般的には part0_pins.xml という名前が付けられているピン マップ ファイルには、ザイリンクス デバイスのピン名、または FPGA タイプの <component> のピン名がリストされていて、これらのコンポーネント ピンの IOSTANDARD およびパッケージ ピン ロケーションが定義されています。ピン マップ ファイルで定義されているピンのフォーマットは次のようになります。

<part_info part_name="xc7k325tffg900-2">
  <pins>
    <pin index="0" name="GPIO_DIP_SW0" iostandard="LVCMOS25" loc="Y29"/>
    <pin index="1" name="GPIO_DIP_SW1" iostandard="LVCMOS25" loc="W29"/>
    <pin index="2" name="GPIO_DIP_SW2" iostandard="LVCMOS25" loc="AA28"/>
    <pin index="3" name="GPIO_DIP_SW3" iostandard="LVCMOS25" loc="Y28"/>
  </pins>

ピン マップ ファイルでは、ザイリンクス デバイスの <pins> のそれぞれに対して、I/O 関連の制約を定義するために、次の属性が使用されます。

表 2. ピン マップ ファイルの属性
タグ 使用方法/説明 例 (KC705)
index= ピン マップ ファイルの <pin> オブジェクトに割り当てられるインデックスです。 0
name= ザイリンクス デバイスのコンポーネント ピン名で、ボード ファイルで使用されます。 GPIO_DIP_SW2
iostandard= ザイリンクス デバイスに対して有効な IOSTANDARD で、ボード設計者により定義されます。特定のコンポーネント ピンに対して Vivado Design Suite でサポートされている IOSTANRADRD が有効値です。 LVCMOS25
loc= ザイリンクス デバイス パッケージのピン ロケーションです。 Y29