合成後のプロジェクト - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: システム レベル デザイン入力 (UG895)

Document ID
UG895
Release Date
2022-05-11
Version
2022.1 日本語

Vivado 合成、XST またはサポートされるサードパーティ合成ツールで作成した合成後のネットリストからプロジェクトを作成できます。たとえば、Vivado Design Suite には、EDIF、NGC、または構造 Verilog 形式のネットリスト、XCI ファイル (DCP ファイルを含むすべての出力ファイルが既に生成されている必要あり) と Vivado デザイン チェックポイント (DCP) ファイルをインポートできます。ネットリストは、1 つのファイルにまとめられているか、複数のモジュール レベルのネットリストから構成される階層構造になっています。

重要: Vivado Design Suite では、NGC フォーマットのファイルは UltraScale デバイス用にサポートされていません。Vivado Design Suite で IP を再生成し、ネイティブ出力ファイルを使用することをお勧めします。NGC ファイルは NGC2EDIF コマンドで EDIF に変換してインポートすることもできますが、ただし、ザイリンクスでは、今後は XST で生成された NGC フォーマットではなく Vivado のネイティブ IP を使用することを勧めします。

ネットリスト ロジックを解析およびシミュレーションし、さまざまなインプリメンテーション run を実行および管理し、配置配線済みのデザインの結果を解析できます。また、さまざまな制約やインプリメンテーション ストラテジを試すこともできます。

注記: ISE の IP は 7 シリーズ デバイスでのみサポートされます。ISE フォーマットの IP (.ngc) は、UltraScale デザインではサポートされません。これらの IP は、UltraScale デザインを開始する前にネイティブ Vivado 形式に移行しておく必要があります。
重要: ISE から Vivado へのデザインの移行方法は、 『ISE から Vivado Design Suite への移行ガイド』 (UG911) を参照してください。Vivado
注記: エンベデッド タイミング制約を含む NGC または EDIF ファイルをインポートすると、その制約は Vivado Design Suite では使用されません。デザイン制約は、XDC コマンドとしてフォーマットされる必要があります。ザイリンクス デザイン制約 (XDC) ファイルの詳細は、 『Vivado Design Suite ユーザー ガイド: 制約の使用』 (UG903) を参照してください。ユーザー制約ファイル (UCF) を XDC 制約に変換する方法については、 『ISE から Vivado Design Suite への移行ガイド』 (UG911) を参照してください。