新規ブロック デザインの作成 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: システム レベル デザイン入力 (UG895)

Document ID
UG895
Release Date
2022-05-11
Version
2022.1 日本語

開いている RTL プロジェクトでブロック デザインを作成できます。新しくブロック デザイン ソースを作成し、それを現在のプロジェクトに自動的に追加するには、次の手順にしたがってください。

  1. Flow Navigator で IP Integrator をクリックします。
  2. Create Block Design をクリックします。

    これで、Vivado IP インテグレーターが開き、ブロック デザインに IP を追加し接続できるようになります。新規ブロック デザインの作成については、 『Vivado Design Suite ユーザー ガイド: IP インテグレーターを使用した IP サブシステムの設計』 (UG994)このセクションを参照してください。

  3. 新しく作成したブロック デザインを保存すると、現在のプロジェクトに自動的に追加されます。

    また、現在のプロジェクト環境外でブロック デザインを作成することもできます。ブロック デザインのリポジトリを作成して、ブロック デザインを再利用し、さまざまなプロジェクトに追加できます。現在のプロジェクト環境外でのブロック デザインの作成については、 『Vivado Design Suite ユーザー ガイド: IP インテグレーターを使用した IP サブシステムの設計』 (UG994)このセクションを参照してください。

注記: MicroBlaze™ プロセッサを使用するか、または Zynq-7000 デバイスをターゲットにして、エンベデッド プロセッサ ブロック デザインを作成する場合は、 『Vivado Design Suite ユーザー ガイド: エンベデッド プロセッサ ハードウェア デザイン』 (UG898) を参照してください。