IP ソースの編集 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: IP を使用した設計 (UG896)

Document ID
UG896
Release Date
2022-05-19
Version
2022.1 日本語

IP を編集するには、次の手順に従います。

  1. IP をカスタマイズしていない場合はカスタマイズし、DCP を含むすべての出力ファイルを生成します。IP に対してデフォルトの OOC フローを使用しない場合は、DCP 作成をオフにします。

    デフォルト フローを使用することをお勧めします。

  2. 出力ファイル (該当する場合は DCP ファイルも) を生成したら、次の Tcl コマンドを使用して IP の XCI ファイルの IS_MANAGED プロパティを false に設定します。
    set_property IS_LOCKED false [get_files <IP_Name>.xci]

    複雑なサブシステム IP の場合は、次のようなエラー メッセージが表示されます。

    ERROR: [IP_Flow 19-3666] The is_managed property cannot be directly modified for 
    hierarchical IP.
    注意:
    IS_MANAGED プロパティをいったん false に設定すると、IP がユーザー管理の IP になります。ユーザーの変更したソースが上書きされてしまう危険性が高くなるので、プロパティを true に戻すことはできません。
  3. このエラー メッセージが表示された場合は、サブシステム IP の編集を読み、次を実行します。

    IS_MANAGED プロパティを false に設定すると、IS_LOCKED プロパティが TRUE になります。[Sources] ウィンドウの [IP Sources] ビューの IP アイコンが に変わり、IP が Vivado では管理されず、ユーザー管理になったことが示されます。

    Report IP Status コマンドの出力ウィンドウに、IP がユーザー管理になり、暗号化されていない HDL ファイルおよび XDC ファイルを変更できるようになったことが示されます。

  4. 必要な変更を加えます。
  5. 次の手順に従って DSP を含んだ IP 出力ファイルを再生成します。
    1. IP OOC run をリセットします。リセットは Tcl コンソールから実行します。[Out-of-Context Module Runs] フォルダーの [Design Runs] タブで、再合成が必要な <IP_Name>_synth_1 という名前で、IS_MANAGED プロパティが FALSE になっている IP を見つけます。Tcl コンソールで次のコマンドを実行して run をリセットします。
      reset_run <ip_name>_synth_1
      
    2. Tcl コンソールで次のコマンドを実行して run を再実行します。
      launch_run <ip_name>_synth_1
      

      これで変更した IP の HDL または制約が使用されます。

      run が終了したら、IP を前と同じように使用できます。

      XCI ファイルを参照すると (推奨)、シミュレーションで IP ソース ファイル、最上位ファイルの合成およびインプリメンテーションで DCP ファイルにアクセスできるようになります。