デバッグ フロー - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: IP を使用した設計 (UG896)

Document ID
UG896
Release Date
2022-05-19
Version
2022.1 日本語

Vivado ツールでは、複数の方法でデザインにデバッグ プローブを追加できます。ユーザーは、どのフローがデザイン要件に適しているかを決定する必要があります。使用できるデバッグ フローは次のとおりです。

  • HDL インスタンシエーション デバッグ プローブ フロー: デバッグ IP コアを HDL デザインに追加し、HDL ソースの信号を ILA デバッグ コアに接続します。

    このフローの詳細は、『Vivado Design Suite ユーザー ガイド: プログラムおよびデバッグ』 (UG908) のこのセクションを参照してください。

    このフローには次のような利点と欠点があります。

    • 利点: HDL デザイン レベルでプローブ可能。
    • 欠点:
    • HDL ソースを修正することにより、デバッグ ネットおよび IP を手動でデザインに追加およびデザインから削除する必要あり。
    • デバッグ コアの生成、インスタンシエート、接続の際に間違いやすい。
  • ネットリスト挿入デバッグ プローブ フロー (推奨): 合成済みネットリストの信号を ILA デバッグ コア インスタンスに接続します。
    • MARK_DEBUG 属性を使用してソース RTL コードでデバッグする信号をマークします。
    • 合成済みデザイン ネットリストでネットを右クリックして MARK_DEBUG をクリックし、デバッグするネットを選択します。

    ネットリスト挿入フローでは、Set Up Debug ウィザードを使用して、デザインにデバッグ コアおよびプローブ信号を追加します。

    • 利点:
    • 最も柔軟性があり、予測しやすい。
    • 異なるデザイン レベル (HDL、合成済みデザイン、システム デザイン) でのプローブが可能。
    • HDL ソースを修正する必要なし。
    • 欠点: IBERT または JTAG-to-AXI Master コアには使用不可。
  • Tcl ベースのネットリスト挿入フロー: set_property Tcl コマンドを使用してデバッグ ネットに MARK_DEBUG プロパティを設定し、次の Tcl コマンドを使用して合成済みのデザインにデバッグ コアおよびプローブを追加します。
    • create_debug_core
    • create_debug_port
    • connect_debug_port