IP 出力ファイルの生成 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: I/O およびクロック プランニング (UG899)

Document ID
UG899
Release Date
2022-05-04
Version
2022.1 日本語

メモリ IP を設定したら、インプリメンテーションおよびサードパーティ ツールで使用する出力ファイルを生成する必要があります。Vivado ツールでは、出力ファイルを生成すると、IP レベルの XDC 制約ファイルが IP ディレクトリに作成されます。XDC ファイルには、IOSTANDARD、OUTPUT_IMPEDANCE、DRIVE、SLEW などの I/O 物理制約が含まれます。Vivado ツールではこれらの制約は IP の設定から抽出され、I/O プランニングに使用されます。制約は、[I/O Planning] レイアウトに表示されます。

出力ファイル生成の詳細は、 『Vivado Design Suite ユーザー ガイド: IP を使用した設計』 (UG896) の「出力ファイルの生成」を参照してください。