VHDL の character 型 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: ロジック シミュレーション (UG900)

Document ID
UG900
Release Date
2022-04-21
Version
2022.1 日本語

VHDL の 1 character 値は、C/C++ では 1 バイトとして表現されます。VHDL の character 値は C/C++ の char リテラルとまったく同じで、その ASCII の数値とも等しくなります。たとえば、VHDL の character 値 m は、C/C++ の char リテラル m または 10 進数値 109 と同じです。

コード例

// Put a 'T' on signal "myChar," where "myChar" is defined as
// signal myChar : character;
const char tVal = 'T';
int myChar = loader.get_port_number("myChar");
loader.put_value(myChar, &tVal);