Vivado IDE でのサードパーティ シミュレータを使用したシミュレーションの実行 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: ロジック シミュレーション (UG900)

Document ID
UG900
Release Date
2022-04-21
Version
2022.1 日本語
重要: サードパーティ シミュレータを実行する前に、コンパイルされたライブラリのディレクトリ (compile_simlib が実行されたパス、または -directory オプションで指定されたディレクトリ) を確認してください。

Vivado IDE では、シミュレーション設定に基づいてデザインをコンパイル、エラボレート、シミュレーションできます。シミュレータは別ウィンドウで起動します。

デザインの合成前にシミュレーションを実行すると、ビヘイビアー シミュレーションが実行されます。各デザイン手順 (合成およびインプリメンテーション) が終了したら、論理またはタイミング シミュレーションを実行するオプションが選択できるようになります。シミュレーション run は、Flow Navigator または Tcl コマンドから開始できます。

Flow Navigator で Run Simulation をクリックし、次の図に示すリストから実行するシミュレーションをクリックします。

図 1. シミュレーションの種類

対応する Tcl コマンドは launch_simulation です。

ヒント: このコマンドには、DO ファイルまたは SH ファイルを出力するための -scripts_only オプションがあります。どちらのファイルが出力されるかは、使用しているシミュレータによります。IDE 環境外でシミュレーションを実行するには、DO または SH ファイルを使用してください。
注記: Vivado 外で VCS シミュレータを実行する場合は、-full64 オプションを使用してください。そうしないと、デザインにザイリンクス IP が含まれている場合にシミュレータが実行されません。
重要: 32 ビットのシミュレータを実行するには、set_property 32bit 1 [current_fileset -simset] コマンドを使用します。
注記: ザイリンクス Verification IP (VIP) では、SystemVerilog コンストラクトが使用されます。VIP をインスタンシエートする IP を使用する場合は、シミュレータで SystemVerilog がサポートされている必要があります。