Vivado シミュレータ Tcl コマンドの値の規則 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: ロジック シミュレーション (UG900)

Document ID
UG900
Release Date
2022-04-21
Version
2022.1 日本語

この付録では、Tcl コマンドの add_force および set_value の両方に適用される値の規則を示します。