svdpi.h 関数のサポート - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: ロジック シミュレーション (UG900)

Document ID
UG900
Release Date
2022-04-21
Version
2022.1 日本語

svdpi.h ヘッダー ファイルは、<vivado installation>/data/xsim/include ディレクトリに含まれます。

次の svdpi.h 関数がサポートされます。

svBit svGetBitselBit(const svBitVecVal* s, int i); 
svLogic svGetBitselLogic(const svLogicVecVal* s, int i);
void svPutBitselBit(svBitVecVal* d, int i, svBit s);
void svPutBitselLogic(svLogicVecVal* d, int i, svLogic s);
void svGetPartselBit(svBitVecVal* d, const svBitVecVal* s, int i, int w); 
void svGetPartselLogic(svLogicVecVal* d, const svLogicVecVal* s, int i, int w); 
void svPutPartselBit(svBitVecVal* d, const svBitVecVal s, int i, int w); 
void svPutPartselLogic(svLogicVecVal* d, const svLogicVecVal s, int i, int w);
const char* svDpiVersion();
    svScope svGetScope();
    svScope svSetScope(const svScope scope);
    const char* svGetNameFromScope(const svScope);
    int svPutUserData(const svScope scope, void*userKey, void* userData);
    void* svGetUserData(const svScope scope, void* userKey);