xelab を使用したコンパイル済み C コードの SystemVerilog への統合 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: ロジック シミュレーション (UG900)

Document ID
UG900
Release Date
2022-04-21
Version
2022.1 日本語

コンパイルした C コードを SystemVerilog に統合する xelab の DPI に関するオプションは、次のとおりです。

表 1. xelab の DPI 関連のオプション
オプション 説明
-sv_root arg 検索する必要のある DPI 共有ライブラリに関連するルート ディレクトリを指定します。デフォルトは <current_directory>/xsim.dir/xsc です。
-sv_lib arg SystemVerilog にインポートされる C 関数を定義する DPI 共有ライブラリの名前 (ファイル拡張子なし) を指定します。
-sv_liblist arg DPI 共有ライブラリをポイントするブートストラップ ファイルを指定します。
-dpiheader arg インポートおよびエクスポートされたファンクションの C 宣言を含む DPI ヘッダー ファイルを生成します。
-dpi_absolute Linux で lib<libname>.so という形式の DPI ライブラリに LD_LIBRARY_PATH ではなく絶対パスを使用します。
-dpi_stacksize arg DPI タスクのユーザー定義のスタック サイズ。

r-sv_liblist arg の詳細は、『IEEE Standard for SystemVerilog—Unified Hardware Design, Specification, and Verification Language』 の 1228 ページの付録 J.4.1 を参照してください。