サポートされるシミュレータ - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: ロジック シミュレーション (UG900)

Document ID
UG900
Release Date
2022-04-21
Version
2022.1 日本語

次の表に、Vivado Design Suite でサポートされるシミュレータを示します。

表 1. サポートされるシミュレータ
シミュレータ バージョン Vivado IDE との統合
Vivado® シミュレータ 2022.1 Vivado IDE に統合されており、Vivado IDE 内の各シミュレーションが表示されるウィンドウに含まれます。
Siemens EDA Questa Advanced Simulator 2021.3
Siemens EDA ModelSim Simulator 2021.3
Synopsys 社 Verilog Compiler Simulator (VCS) S-2021.09
Aldec Rivera-PRO Simulator 2021.04
Aldec Active-HDL 12.0
Cadence 社 Xcelium Parallel Simulator 21.09.002

サードパーティ シミュレータのサポートされるバージョンについては、 『Vivado Design Suite ユーザー ガイド: リリース ノート、インストール、およびライセンス』 (UG973) を参照してください。

Vivado IDE および Vivado Design Suite フローの詳細は、次を参照してください。

  • 『Vivado Design Suite ユーザー ガイド: Vivado IDE の使用』 (UG893)
  • 『Vivado Design Suite ユーザー ガイド: デザイン フローの概要』 (UG892)