ザイリンクス シミュレータ インターフェイスの使用 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: ロジック シミュレーション (UG900)

Document ID
UG900
Release Date
2022-04-21
Version
2022.1 日本語

XSI (ザイリンクス Simulator Interface) は、C/C++ プログラムが HDL デザインのテストベンチとして機能するようにするザイリンクス Vivado シミュレータ (xsim) への C/C++ アプリケーションプログラミング インターフェイス (API) です。C/C++ プログラムは、XSI を使用し、HDL デザインをホストする Vivado シミュレータのアクティビティを制御します。

この C/C++ プログラムは、次の方法でシミュレーションを制御します。

  • HDL デザインの最上位入力ポートの値の設定
  • 一定時間シミュレーションを実行するよう Vivado シミュレータに指示

HDL デザインの最上位出力ポートの値を読み出すことも可能です。

C/C++ プログラムで XSI を使用するには、次の手順に従います。

  1. ダイナミック リンクを使用して XSI API 関数を呼び出すよう準備します。
  2. C/C++ テストベンチ コードを API 関数を使用して記述します。
  3. C/C++ プログラムをコンパイルおよびリンクします。
  4. Vivado シミュレータと HDL デザインを 1 つの共有ライブラリにパッケージします。