特定のファイルで SystemVerilog を使用 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: ロジック シミュレーション (UG900)

Document ID
UG900
Release Date
2022-04-21
Version
2022.1 日本語

Vivado IDE のデフォルトでは、.v ファイルは Verilog 2001 構文で、.sv ファイルは SystemVerilog 構文でコンパイルされます。

Vivado IDE で特定の .v ファイルに SystemVerilog を使用するには、次の手順に従います。

  1. ファイルを右クリックして Set file type をクリックします (次の図を参照)。

  2. [Set Type] ダイアログ ボックスで、[File type] を [Verilog] から SystemVerilog に変更し、OK をクリックします。

または、Tcl コンソールで次の Tcl コマンドを使用します。

set_property file_type SystemVerilog [get_files <filename>.v]