[Set Bus Skew] ダイアログ ボックス - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: 制約の使用 (UG903)

Document ID
UG903
Release Date
2022-06-01
Version
2022.1 日本語

Vivado® IDE では、バス スキュー制約を複数の方法で設定できます。

  • [Timing Constraints] ウィンドウを使用します。Window > Timing Constraint > Assertion > Set Bus Skew をクリックします。

    [Timing Constraints] ウィンドウで、バス スキュー制約を追加、削除、または変更します。

    注記: ロックされている IP のバス スキュー制約は変更できません。
  • CDC レポートから。Reports > Timing > Report CDC をクリックします。

CDC レポートの表で 2 つ以上の始点と 2 つ以上の終点を含む列を 1 つまたは複数選択し、右クリックして Set Bus Skew をクリックし、次のいずれかをクリックします。

[Startpoint to Endpoint]
選択した行に含まれる始点と終点の間にバス スキュー制約を設定します。
[Source Clock to Destination Clock]
始点と終点のクロック ドメイン間にバス スキュー制約を設定します。
注記: クロック ドメイン間にパス スキュー制約を設定すると、必要以上のパスに制約が適用されるので、通常はお勧めしません。インプリメンテーションの実行時間が長くなり、タイミング クロージャを達成するのが不可能になる可能性があります。
図 1. CDC レポートからバス スキュー制約を設定

注記: Vivado では、選択したオブジェクトに設定したバス スキュー制約が有効かどうかは検証されません。選択したオブジェクトに対してバス スキュー制約が適切なものであることを確認してください。

[Set Bus Skew] ダイアログ ボックスでは、次の図に示すように、バス スキュー値、始点、および終点を指定できます。

図 2. [Set Bus Skew] ダイアログ ボックス