XDC を使用した IP およびサブモジュールの制約 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: 制約の使用 (UG903)

Document ID
UG903
Release Date
2022-06-01
Version
2022.1 日本語

IP を作成し、それを Vivado IP カタログから使用できるようにするために IP をパッケージする場合、XDC 制約も一緒にパッケージできます。Vivado Design Suite の IP はプラグアンドプレイであり、最上位デザイン制約を完了するのに、制約を切り貼りするための IP のサンプル プロジェクトは必要ありません。スタンドアロンの最上位デザインと同様に、その IP 用に開発された XDC ファイルと共に、IP をパッケージできます。IP カタログを使用して IP をプロジェクトにインスタンシエートした場合、Vivado ツールで制約が適切に読み込まれます。

同様に、デザインのサブモジュール用に制約を作成し、プロジェクト フローでは SCOPED_TO_REF/SCOPED_TO_CELLS XDC ファイル プロパティを設定、非プロジェクト モードでは read_xdc -ref/-cells コマンドを使用すると、IP コアと同じ制約適用範囲設定メカニズムを使用できます。