クロックについて - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: 制約の使用 (UG903)

Document ID
UG903
Release Date
2022-06-01
Version
2022.1 日本語

デジタル デザインでは、レジスタからレジスタにデータを転送するための時間の基準となるのがクロックです。ザイリンクス Vivado® 統合設計環境 (IDE) のタイミング エンジンは、クロックの特性を使用してタイミング パス要件を算出し、スラックを算出してデザインのタイミング マージンをレポートします。

詳細は、 『Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック』 (UG906) を参照してください。

タイミング パスをできるだけ正確に、最大限に網羅するには、クロックを正しく定義する必要があります。クロックは次の特性により定義されます。

  • そのツリー ルートのドライバー ピンまたはポート (起点) で定義されます。
  • エッジは、周期と波形の特性で表現されます。
  • 周期はナノ秒 (ns) で定義し、これは、波形が繰り返す間隔を表します。
  • 波形は、クロック周期内の立ち上がりエッジおよび立ち下がりエッジの絶対時間 (ns) のリストです。波形のリストには偶数個の値を含める必要があり、最初の値は最初の立ち上がりエッジを示します。値を指定しない場合、デューティ サイクルはデフォルトで 50% になり、位相シフトは 0 ns になります。

次の図では、クロック Clk0 は周期が 10 ns、デューティ サイクルが 50%、位相シフトが 0 ns です。Clk1 クロックの周期は 8 ns、デューティ サイクルは 75% (8 ns 中 High の時間が 6 ns)、立ち上がりエッジの位相シフトは 2 ns です。

Clk0: period = 10, waveform = {0 5}
Clk1: period = 8, waveform = {2 8}
図 1. クロック波形の例