タイミング例外について - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: 制約の使用 (UG903)

Document ID
UG903
Release Date
2022-06-01
Version
2022.1 日本語

ロジックがデフォルトのままでは正しくタイミングを解析できない場合、タイミング例外を指定する必要があります。2 クロック サイクルごとに結果を取り込むロジックなど、タイミングを別に処理する必要がある場合は、タイミング例外コマンドを使用します。

ザイリンクス Vivado® IDE では、次の表に示すタイミング例外コマンドがサポートされています

表 1. タイミング例外コマンド
コマンド 機能
set_multicycle_path パスの始点から終点までデータを伝搬させるのに必要なクロック サイクル数を指定します。
set_false_path デザインに含まれているロジック パスで、解析から除外すべきものを指定します。
set_max_delay set_min_delay 最小パス遅延または最大パス遅延の値を指定します。このコマンドを使用すると、デフォルトのセットアップおよびホールド制約ではなく、ユーザーが指定した最大/最小遅延値が使用されます。
注記: Vivado ツールでは、実行時間を考慮し、タイミング例外の競合はダイナミックには解析されません。タイミング例外の解析およびレポートには、report_exceptions コマンドを実行します。詳細は、 『Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック』 (UG906) を参照してください。