パスに最大遅延制約および最小遅延制約を設定した場合の影響 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: 制約の使用 (UG903)

Document ID
UG903
Release Date
2022-06-01
Version
2022.1 日本語

-datapath_only オプションが使用されていない場合、パスに最大遅延制約を設定しても、そのパスの最小要件は変更されません。そのパスのホールド (リムーバル) チェックはデフォルトのままです。

注記: -datapath_onlyset_max_delay オプションを使用すると、そのパスでホールド要件が無視されます (set_false_path -hold 内部制約がいくつか生成されます)。

同様に、パスに最小遅延制約を設定しても、デフォルトのセットアップ (リカバリ) チェックは変更されません。

たとえば、あるパスに最大遅延要件だけがある場合は、そのパスには set_max_delayset_false_path コマンドを組み合わせて制約を設定できます。次に例を示します。

set_max_delay 5 -from [get_pins FD1/C] -to [get_pins FD2/D] set_false_path -hold -from [get_pins FD1/C] -to [get_pins FD2/D]

上記の例では、始点が FD1/C で終点が FD2/D のパスに、5 ns のセットアップ要件が設定されます。set_false_path コマンドがあるので、最小要件はありません。