プロジェクト フロー - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: 制約の使用 (UG903)

Document ID
UG903
Release Date
2022-06-01
Version
2022.1 日本語

ザイリンクス デザイン制約 (XDC) ファイルは、新規プロジェクトを作成するとき、または後で Vivado IDE メニューから制約セットに追加できます。

次の図に、プロジェクトに 2 つの制約セットが含まれている例を示します。1 つ目の制約セットには、2 つの XDC ファイルが含まれています。2 つ目の制約セットでは、すべての制約を含む XDC ファイルを 1 つ使用します。

図 1. 1 つの XDC ファイルまたは複数の XDC ファイル

重要: プロジェクトに独自の制約を使用する IP が含まれている場合、その制約ファイルは制約セットには表示されず、IP ソース ファイルと共にリストされます。

Tcl スクリプトを、ツールで管理されない制約または Tcl スクリプトとして制約セットに追加することもできます。これらの制約を変更した場合、Vivado Design Suite ではこれらの変更は管理されない Tcl スクリプトには保存されません。Tcl スクリプトおよび XDC ファイルは、Vivado IDE に表示されているのと同じ順序で読み込まれるか (同じ PROCESSING_ORDER グループに属している場合)、または report_compile_order -constraints コマンドでレポートされるのと同じ順序で読み込まれます。

1 つの XDC ファイルまたは Tcl スクリプトを必要に応じて複数の制約セットで使用できます。制約ファイルおよび制約セットの作成方法、プロジェクトへの追加方法は、 『Vivado Design Suite ユーザー ガイド: システム レベル デザイン入力』 (UG895)このセクションを参照してください。