例 4: -divide_by と -multiply_by オプションを同時に使用 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: 制約の使用 (UG903)

Document ID
UG903
Release Date
2022-06-01
Version
2022.1 日本語

Vivado IDE では、-divide_by-multiply_by を同時に指定できます。これは、標準 SDC (Synopsys Design Constraints) のサポートを拡張したものです。これらのオプションを同時に指定すると、MMCM または PLL インスタンスで生成されたクロックを手動で定義するときに便利ですが、これらの制約はツールで自動的に生成されるようにすることを推奨します。

詳細は、自動派生クロックを参照してください。

たとえば、例 3: -edges および -edge_shift オプションを使用したデューティ サイクルおよび位相シフトの指定の mmcm0 セルでマスター クロックの周波数が 4/3 で逓倍されるとすると、この生成クロックの定義は次のようになります。

create_generated_clock -name clk43 -source [get_pins mmcm0/CLKIN] -multiply_by 4 \
-divide_by 3 [get_pins mmcm0/CLKOUT]

MMCM/PLL の出力に生成クロック制約を作成する場合は、波形の定義が MMCM/PLL の設定に一致していることを確認してください。