例外の優先順位 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: 制約の使用 (UG903)

Document ID
UG903
Release Date
2022-06-01
Version
2022.1 日本語

複数のタイミング例外が同じパスに適用されているなど、制約が重複する場合は、優先順位は次のようになります。

  1. クロック グループ (set_clock_groups)
  2. フォルス パス (set_false_path)
  3. 最大遅延パス (set_max_delay) および最小遅延パス (set_min_delay)
  4. マルチサイクル パス (set_multicycle_path)
注記: set_bus_skew 制約は、上記の制約の優先順位には影響しません。set_bus_skew 制約がほかの制約より優先されることはなく、またクロック グループ、最大遅延、フォルス パス、およびマルチサイクル パスにより無効になることはありません。これは、バス スキューは特定のパスに設定される制約ではなく、パス間に設定される制約だからです。
注記: フォルス パス、最大/最小遅延、マルチサイクル パス間の優先順位は、-reset_path オプションを使用して変更できます。クロック グループ制約の優先順位は変更できません。最大/最小遅延またはマルチサイクル パス制約は、両方の制約が -from/-to/-through と同じ引数で定義され、最新の制約が -reset_path を使用している場合にのみ、事前に定義されたフォルス パスまたは最大/最小遅延制約よりも優先できます。

同じタイプの例外の場合は、制約が詳細に指定されているものほど優先されます。制約で使用されているフィルター オプションおよびオブジェクトのタイプによって、制約の特性を変更できます。

オブジェクトの優先順位は、次のとおりです。

  1. ポート、ピン、およびセル

    セル自体ではなくセルのピンが使用されます。

  2. クロック

    クロックの優先順位は、常にポート、ピン、およびセルよりも低くなります。クロック オブジェクトを使用するタイミング例外よりも、ポート、ピン、およびセルを使用して定義された別のタイミング例外の方が優先されます。

フィルターの優先順位を高い方から示すと、次のようになります。

  1. -from -through -to
  2. -from -to
  3. -from -through
  4. -from
  5. -through -to
  6. -to
  7. -through
重要: -from または -to のいずれかに使用されているセルは、クロックがより限定的な -from -through -to で使用されていても、クロックより優先されます。