例外の優先順位の例 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: 制約の使用 (UG903)

Document ID
UG903
Release Date
2022-06-01
Version
2022.1 日本語
>	set_max_delay 12 -from [get_clocks clk1] -to [get_clocks clk2]
>	set_max_delay 15 -from [get_clocks clk1]

この例では、clk1 から clk2 へのパスに、2 つ目の制約ではなく 1 つ目の制約が適用されます。

例外で使用される -through オプションの数は、優先順位には影響しません。タイミング エンジンでは、最も厳しい制約が使用されます。

>	set_max_delay 12 -from [get_cells inst0] -to [get_cells inst1]
>	set_max_delay 15 -from [get_clocks clk1] -through [get_pins hier0/p0] -to [get_cells inst1]
この例では、最初の制約ではセル オブジェクトのみが使用され、2 番目の制約ではクロック オブジェクトが使用されています。inst0 には clk1 クロックが供給されていますが、inst0 セルから inst1 セルへのパスには 2 番目の制約ではなく最初の制約が適用されます。