制約ファイルの順序 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: 制約の使用 (UG903)

Document ID
UG903
Release Date
2022-06-01
Version
2022.1 日本語

IP を含まないプロジェクト フローでは、制約はすべて制約セットに含まれます。デフォルトでは、エラボレート済みデザインまたは合成済みデザインをメモリに読み込むときに、Vivado IDE に表示されている順序で XDC ファイル (または Tcl スクリプト) が読み込まれます。リストの 1 番上のファイルが最初に読み込まれ、1 番下のファイルが最後に読み込まれます。この順序を変更するには、IDE でファイルを選択し、リスト内での位置を変更します。

たとえば では、ドラッグ アンド ドロップで wave_gen_pin.xdcwave_gen_timing.xdc の前に移動しています。

図 1. Vivado IDE での XDC ファイル順の変更

これと同等の Tcl コマンドは、次のとおりです。

reorder_files -fileset constrs_1 -before [get_files wave_gen_timing.xdc] \ [get_files wave_gen_pins.xdc]
表 1. ファイル順の変更前と変更後
ファイル 変更前のファイル順 変更後のファイル順
wave_gen_timing.xdc 1 2
wave_gen_pins.xdc 2 1

非プロジェクト モードでは、read_xdc で読み込む順序が、制約ファイルが評価される順序になります。