制限 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: 制約の使用 (UG903)

Document ID
UG903
Release Date
2022-06-01
Version
2022.1 日本語
  • 自動派生クロックの名前は、PLL や MMCM などのクロック調整ブロックの出力など、自動派生クロックの起点となるピンでのみ変更できます。たとえば、自動派生クロックが BUFG を介して伝搬される場合でも、その BUFG の出力で名前を変更することはできません。
  • プライマリ クロックおよびユーザー定義の生成クロックの名前は変更できません。この方法で変更できるのは、自動派生クロックの名前のみです。
  • source_object には、自動派生クロックが作成されたオブジェクトを指定する必要があります。

生成クロックの名前を変更できない場合、エラーが返されます。また、生成クロックの名前を変更するときに、マスター クロックが存在していることも必要です。自動派生クロックは、別のタイミング制約で参照された後であっても、いつでも XDC 内で変更できます。

次に、MMCM の出力ピンでの生成クロックに対して report_clocks を実行した場合のレポート例を示します。

====================================================
Generated Clocks
====================================================

Generated Clock	: clkfbout_clk_core
Master Source	: clk_gen_i0/clk_core_i0/inst/mmcm_adv_inst/CLKIN1 Master Clock	: clk_pin_p
Multiply By	: 1
Generated Sources : {clk_gen_i0/clk_core_i0/inst/mmcm_adv_inst/CLKFBOUT}

Generated Clock	: clk_rx_clk_core
Master Source	: clk_gen_i0/clk_core_i0/inst/mmcm_adv_inst/CLKIN1 Master Clock	: clk_pin_p
Multiply By	: 1
Generated Sources : {clk_gen_i0/clk_core_i0/inst/mmcm_adv_inst/CLKOUT0}

Generated Clock	: clk_tx_clk_core
Master Source	: clk_gen_i0/clk_core_i0/inst/mmcm_adv_inst/CLKIN1 Master Clock	: clk_pin_p
Edges	: {1 2 3}
Edge Shifts	: {0.000 0.500 1.000}
Generated Sources : {clk_gen_i0/clk_core_i0/inst/mmcm_adv_inst/CLKOUT1}

次の 3 つのコマンドは、MMCM の出力で自動的に派生された 3 つのクロックの名前を変更するために指定する必要のあるコマンド ライン オプションを示しています。


create_generated_clock -name clk_rx [get_pins clk_gen_i0/clk_core_i0/inst/mmcm_adv_inst/CLKOUT0] 
create_generated_clock -name clk_tx [get_pins clk_gen_i0/clk_core_i0/inst/mmcm_adv_inst/CLKOUT1] 
create_generated_clock -name clkfbout [get_pins clk_gen_i0/clk_core_i0/inst/mmcm_adv_inst/CLKFBOUT]

名前を変更した後の report_clocks の出力は、次のようになります。

====================================================
Generated Clocks
====================================================
Generated Clock	: clkfbout
Master Source	: clk_gen_i0/clk_core_i0/inst/mmcm_adv_inst/CLKIN1 Master Clock	: clk_pin_p
Multiply By	: 1
Generated Sources : {clk_gen_i0/clk_core_i0/inst/mmcm_adv_inst/CLKFBOUT}

Generated Clock	: clk_rx
Master Source	: clk_gen_i0/clk_core_i0/inst/mmcm_adv_inst/CLKIN1 Master Clock	: clk_pin_p
Multiply By	: 1
Generated Sources : {clk_gen_i0/clk_core_i0/inst/mmcm_adv_inst/CLKOUT0}

Generated Clock	: clk_tx
Master Source	: clk_gen_i0/clk_core_i0/inst/mmcm_adv_inst/CLKIN1 Master Clock	: clk_pin_p
Edges	: {1 2 3}
Edge Shifts	: {0.000 0.500 1.000}
Generated Sources : {clk_gen_i0/clk_core_i0/inst/mmcm_adv_inst/CLKOUT1}