推奨される制約の順序 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: 制約の使用 (UG903)

Document ID
UG903
Release Date
2022-06-01
Version
2022.1 日本語

デザインで XDC ファイルを 1 つまたは複数使用する場合のどちらでも、制約を次の順序で指定します。

## Timing Assertions Section # Primary clocks
# Virtual clocks
# Generated clocks # Clock Groups
# Bus Skew constraints
# Input and output delay constraints

## Timing Exceptions Section # False Paths
# Max Delay / Min Delay # Multicycle Paths
# Case Analysis # Disable Timing

## Physical Constraints Section
# located anywhere in the file, preferably before or after the timing constraints # or stored in a separate constraint file
注記: クロックの関係またはクロック伝搬を変更するケース解析制約は、生成クロックを定義する前に定義する必要があります。これには、クロック バッファーにその出力クロックに影響するようなケース解析を定義する場合も含まれます。

まずクロック定義から始めます。クロックを作成しないと、クロックをほかの制約で使用することはできません。クロックを定義する前にクロックを参照すると、エラーが発生し、その制約は無視されます。これは、1 つの XDC ファイル内でも、デザイン内のすべての XDC ファイル (または Tcl スクリプト) でも同様です。

制約ファイルの順序は重要です。各ファイルの制約が、ほかのファイルの制約に依存しないようにする必要があります。あるファイルの制約が、ほかのファイルの制約に依存している場合は、依存する制約を含むファイルを最後に読み込む必要があります。2 つの制約ファイルが相互に依存している場合は、1 つのファイルに統合して制約を適切な順序で記述するか、2 つのファイルをさらに分割してそれらのファイルの順序が正しくなるようにする必要があります。