推奨される非同期クロック グループ制約 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: 制約の使用 (UG903)

Document ID
UG903
Release Date
2022-06-01
Version
2022.1 日本語

Timing Constraints ウィザードでは、次の条件が満たされる場合、2 つのクロック間に set_clock_groups -asynchronous 制約を設定することが推奨されます。

  • すべてのパスの両方向にシンクロナイザーが含まれる。
  • どちらの方向にも set_max_delay -datapath_only が設定されたパスがない場合 (set_clock_groups の方が既存の set_max_delay よりも優先される)。