非同期クロック グループ - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: 制約の使用 (UG903)

Document ID
UG903
Release Date
2022-06-01
Version
2022.1 日本語

非同期クロックおよび共通周期のないクロックのタイミングは安全に解析することはできません。これらのクロック間のタイミング パスは、set_clock_groups コマンドを使用して解析で無視されるようにできます。

重要: set_clock_groups コマンドは、通常のタイミング例外よりも優先されます。非同期クロック間の一部のパスに制約を設定し、それをレポートする必要がある場合は、タイミング例外のみを使用し、set_clock_groups は使用しないでください。

非同期クロック グループの例

  • プライマリ クロック clk0 は、入力ポートに定義され、MMCM に入力されて usrclk および itfclk の 2 つのクロックが生成されます。
  • 2 つ目のプライマリ クロック clk1 は、GTP インスタンスの出力に定義されているリカバリ クロックで、2 つ目の MMCM に入力されて gtclkrx および gtclktx の 2 つのクロックが生成されます。