OOC 専用制約 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: 階層デザイン (UG905)

Document ID
UG905
Release Date
2022-04-20
Version
2022.1 日本語

OOC インプリメンテーションに必要な制約の中には、最上位デザインにインポートされると問題となるものがあります。このような状況を避けるため、別の XDC ファイルでこれらの制約を指定して、OOC でのみ使用されるように設定する必要があります。XDC ファイルを OOC フローにのみ使用されるように指定する方法は、2 つあります。XDC ファイルの制約が OOC フローでのみ使用されるように指定すると、それらにマーカーが追加され、OOC デザイン以外に読み込まれる際に制約が無視されるようになります。

方法 1: read_xdc を使用

read_xdc コマンドで XDC ファイルを読み込む際に -mode out_of_context オプションを使用します。

read_xdc -mode out_of_context <file>.xdc
ヒント: read_xdc コマンドはデザインを link_design で読み込む前または後に実行できます。

方法 2: USED_IN プロパティを使用

add_files コマンドを使用してファイルを追加する場合、ファイルにプロパティを設定して OOC でのみ使用されるように指定できます。XDC ファイルが使用されるすべてのフロー (合成またはインプリメンテーション、あるいはその両方) を指定する必要があります。

add_files <file>.xdc
set_property USED_IN {synthesis implementation out_of_context} [get_files <file>]
重要: add_files コマンドは link_design でデザインを読み込むよりも前に実行する必要があります。既に読み込まれたデザインに対して add_files コマンドを使用しても、ファイルを追加はできません。