[Bus Skew Report Per Constraint] セクション - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック (UG906)

Document ID
UG906
Release Date
2022-05-04
Version
2022.1 日本語

[Bus Skew Report Per Constraint] セクションには、各 set_bus_skew 制約の詳細が示されます。レポートされる各制約には、次の 2 つのセクションがあります。

  1. 制約が適用されるパスの詳細なサマリ。
  2. サマリにレポートされたタイミング パスの詳細。

詳細なサマリの表には、次の情報が含まれます。

[From Clock]
始点クロック ドメイン。
[To Clock]
終点クロック ドメイン。
[Endpoint Pin]
レポートされたパスに関連する終点ピン。
[Reference Pin]
スキューを算出するのに使用された基準ピン。この表の各行に表示される基準ピンは、その終点パスの最大スキューになります。
[Corner]
この終点へのワースト スキューを算出するのに使用されたファースト/スロー コーナー。
[Actual]
算出されたスキュー。スキューは、終点ピンの相対遅延から基準ピンの相対遅延と相対 CRPR を引いた値です。
[Slack]
実際のパスのスキューと要件との差。
注記: バス スキュー制約を設定する際は、-from および -to オプションの両方を指定する必要があります。

デフォルトでは、ワースト バス スキューの終点のみがレポートされます。複数の終点をレポートするには、-max_paths および -nworst オプションを使用できます。これらは report_timing コマンドの同じオプションと同様に機能します。たとえば、-nworst 1 -max_path 16 を使用すると、各制約に対して 16 個までの終点、終点ごとに 1 つのパスをレポートできます。

図 1. 制約ごとバス スキュー レポート

タイミング パスの詳細セクションには、サマリの表にレポートされた各ピン ペアのタイミング パスの詳細が示されます。レポートされるパスの数は、サマリの表にレポートされている終点の数と同じで、 -max_paths/-nworst オプションで制御できます。

バス スキュー タイミング パスの詳細のフォーマットは、ほかのタイミング パスと同様です。ただし、バス スキュー解析は同じクロック エッジで実行されるので、クロックのばらつきは含まれません。終点または基準パスからの最悪なクロックばらつきは、バス スキュー ヘッダーにレポートされます。デスティネーション クロックの起動時は常に 0 です。各スラックに対し、終点へのタイミング パスと基準ピンへのタイミング パスが示されます。クロックまたはデータパスが複数の SLR をまたぐ場合は、スラック算出中に SLR 間の補正が適用され、不必要に悪い見積もり部分が回避されます。このような補正は、バス スキュー ヘッダーにレポートされます。

次のパスの詳細例は、-path_type short オプションを使用して生成されており、クロック ネットワークの詳細は省略されています。終点ピンへのパスが基準ピンへのパスの前に表示されています。パス ヘッダーには、2 つの詳細パスからの情報、要件、相対 CRPR が示されます。

図 2. 詳細パスの例