CDC 除外設定の作成 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック (UG906)

Document ID
UG906
Release Date
2022-05-04
Version
2022.1 日本語

CDC 除外設定の場合は、CDC 違反それぞれがソースおよびデスティネーション エレメントの 2 つのピンまたはポート オブジェクトしか参照しないので、よりシンプルに定義できます。ソースおよびデスティネーションのピンまたはポートを指定するには、 -from/-to コマンド ライン オプションを使用します。CDC 除外設定は、 -string/-objects を使用して定義できません。

重要: CDC 除外設定は、ソースおよびデスティネーション クロックに対してではなく、ソースおよびデスティネーション ピンに対してのみ対応しています。GUI から、または同じソースおよびデスティネーション ピン (クロック ペアは別) を参照する CDC 違反オブジェクトの一部から除外設定を作成すると、「WARNING: [Vivado_Tcl 4-935] Waiver ID 'CDC-7' is a duplicate and will not be added again.」のような警告メッセージが表示されます。

次のコマンドでは、ソース ピン U_CORE/U00_TOP/sr_reg[3]/C とデスティネーション ピン U_CORE/U10/ar_reg[3]/CE 間に CDC-1 除外設定が作成されます。

create_waiver -id {CDC-1} -description "CDC violations" \
-from [get_pins {U_CORE/U00_TOP/sr_reg[3]/C}] \
-to [get_pins {U_CORE/U10/ar_reg[3]/CE}]

コマンド ライン オプション -from または -to の 1 つが削除されると、除外設定エンジンではそのなくなったオプションがワイルドカードとして認識されます。

次の 2 つのコマンドは同じで、始点に関係なく、すべての CDC-1 が終点ピンの U_CORE/U10/ar_reg[3]/CE まで除外されます。

create_waiver -id {CDC-1} -description "CDC violations" \
-from {*PIN} \
-to [get_pins {U_CORE/U10/ar_reg[3]/CE}]
create_waiver -id {CDC-1} -description "CDC violations" \
-to [get_pins {U_CORE/U10/ar_reg[3]/CE}]