GUI からの除外設定の作成 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック (UG906)

Document ID
UG906
Release Date
2022-05-04
Version
2022.1 日本語

除外設定は、GUI の [Report DRC]、[Report Methodology]、および [Report CDC] などのウィンドウから直接作成できます。これらのウィンドウで違反を表示させないようにするには、1 つまたは複数の違反を選択して右クリックし、[Create Waiver] をクリックします。次の図では、CDC 違反を 4 つ選択して 4 つの除外設定を作成しています。

図 1. CDC 違反からの除外設定の作成

[Create Waiver] をクリックすると、次のダイアログ ボックスが開きます。

図 2. [Create Waiver] ダイアログ ボックス

ユーザー名は Vivado ツールで自動的に入力されますが、編集可能です。[Description] は必須で、デザイン チームが確認できるように何らかの情報を含めるようにしてください。[Tags] フィールドはオプションで、文字列またはキーワード リストを使用して追加の記述を含めるのに使用できます。これは主に検索目的に設定します。たとえば XDC ファイル内で検索したり、get_waivers コマンドで除外設定をフィルターする際などに使用できます。このダイアログ ボックスでは、GUI が Tcl コンソールに送信される Tcl コマンドのプレビューができます。

[Create Waiver] ダイアログ ボックスが終了したら、除外された違反ごとに create_waiver コマンドが Tcl コンソールに送信されます。DRC および Methodology 違反の場合は、GUI で生成された create_waiver コマンドでその違反オブジェクトが参照されますが、これは移行のためにすぎません。除外設定エンジンは、違反に関連するデザイン オブジェクトすべてを参照して、違反オブジェクトを完全に記述的な除外設定に変換します。このため、作成される除外設定が元の違反オブジェクトを参照することはありません。除外設定が作成されると、エンジンによりタイムスタンプが自動的に追加されます。

除外設定が GUI から作成されたら、選択した行がグレーになってディスエーブルになり、レポートは古くなります。これは、このウィンドウから作成された除外設定を視覚的に示すためです。GUI レポートを再実行したら、除外設定された違反が新しいウィンドウには表示されなくなります。

図 3. 除外設定作成後にディスエーブルになった行

注記: GUI から除外設定を作成するプロセスは、DRC および Methodology 違反の場合でも同じです。