TIMING-2: Invalid Primary Clock Source Pin - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック (UG906)

Document ID
UG906
Release Date
2022-05-04
Version
2022.1 日本語

プライマリ クロック <clock_name> が不適切なピン <pin_name> に作成されています。プライマリ クロックは正しいクロック ルート (タイミング アークのない入力ポートまたはプリミティブの出力ピン) にのみ作成することをお勧めします。