TIMING-201: Add an Output Register to RAM - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック (UG906)

Document ID
UG906
Release Date
2022-05-04
Version
2022.1 日本語

RAM に出力レジスタを追加すると、RAM 読み出しデータパスの clock-to-out 時間を改善できます。これにより、配置配線ツールがより柔軟に実行できるようになり、RAM を最適に配置できるほか、RAM ではなくファブリックにレジスタを配置するオプションを使用したりして、クリティカル パスを最適化できます。

出力レジスタは、合成ツールで簡単に推論できます。これらは、同期リセットを使用するか、リセットなしにする必要があります。