TIMING-33: Invalid Bus Skew Constraint on Safely Timed Paths - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック (UG906)

Document ID
UG906
Release Date
2022-05-04
Version
2022.1 日本語

安全にタイミング解析されるパスにバス スキュー制約が設定されています (Vivado IDE の [Timing Constraints] ウィンドウの制約位置 <position> を参照)。制約 set_bus_skew は、非同期パスに設定するか、同期クロック ドメイン間では set_false_path 例外を使用して設定することをお勧めします。この制約が適用される最初のエンドポイントは <object> です。