TIMING-48: Max Delay Datapath Only Constraint on Latch Input - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック (UG906)

Document ID
UG906
Release Date
2022-05-04
Version
2022.1 日本語

ラッチ <pin_name> の入力に set_max_delay -datapath_only 制約が検出されました (Vivado IDE の Timing Constraint ウィンドウの制約位置 <position> を参照)。通常この制約は非同期クロック乗せ換えで使用され、ダウンストリームのタイミング パスの QoR に影響を与える非現実的なラッチ タイム ボローイングをトリガーできます。