TIMING-7: No Common Node Between Related Clocks - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック (UG906)

Document ID
UG906
Release Date
2022-05-04
Version
2022.1 日本語

クロック <clock_name><clock_name> には関連性がありますが (一緒にタイミング解析される)、共通ノードがありません。このデザインは、ハードウェアでエラーとなる可能性があります。これらのクロック間のタイミング パスを検索するには、次のコマンドを実行します。

report_timing -from [get_clocks <CLOCK_NAME1>] -to [get_clocks <CLOCK_NAME2>]