[Timer Settings] セクション - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック (UG906)

Document ID
UG906
Release Date
2022-05-04
Version
2022.1 日本語

レポートのタイミング情報を生成するのに使用され Vivado IDE タイミング解析エンジン設定の詳細を示します。次の図に [Timer Settings] セクションのデフォルト オプションを示します。

  • [Enable Multi Corner Analysis]: マルチコーナー解析がイネーブルかどうかを示します。各コーナーの解析でどの解析が実行されたかは、[Multi-Corner Configuration] に示されます。
  • [Enable Pessimism Removal] および [Pessimism Removal Resolution]: 各パスのソース クロックおよびデスティネーション クロックで、共通ノードでのスキューが削除されているかどうかを示します。
    注記: これらの設定は、常にイネーブルにする必要があります。
  • [Enable Input Delay Default Clock]: ユーザー制約のない入力ポートにデフォルトのヌル入力遅延制約を作成しているかどうかを示します。このオプションは、デフォルトではオフになっています。
  • [Enable Preset/Clear Arcs]: 非同期ピンを介したタイミング パスの伝搬がイネーブルかどうかを示します。リカバリ/リムーバル チェックには影響しません。デフォルトではオフになっています。
  • [Disable Flight Delays]: I/O 遅延の算出でパッケージ遅延がディスエーブルかどうかを示します。
    図 1. タイミング サマリ レポート: [Timer Settings] セクション

[Timer Settings] セクションのデフォルト設定とその変更方法は、 『Vivado Design Suite Tcl コマンド リファレンス ガイド』 (UG835)このセクションconfig_timing_analysis を参照してください。