インプリメンテーションの解析およびクロージャ テクニック - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック (UG906)

Document ID
UG906
Release Date
2022-05-04
Version
2022.1 日本語

この章では、タイミング クロージャに使用可能な手法を説明します。 『UltraFast 設計手法タイミング クロージャクイック リファレンス ガイド』 (UG1292) 『ザイリンクス FPGA および SoC 用 UltraFast 設計手法ガイド』 (UG949) に示すタイミング クロージャ手法に加えて考慮する必要があります。

  • インテリジェント デザイン run は、タイミング クロージャの複雑な問題を解決する自動タイミング クロージャ フローで、ユーザーの知識はほとんど必要ありません。
  • QoR 推奨項目オプション フローは、プロパティを適用することにより自動的に QoR を向上します。
  • ML ストラテジ フローは、特定のデザインに最適なツール オプションを選択するのに役立ちます。
  • フロアプランは、配置をガイドして配置結果を向上し、タイミング パスと密集を改善するための複雑な手法です。
  • デザインにホールド問題があるかどうかを判断することは、タイミング クロージャ ストラテジを決定するのに重要です。このセクションでは、ホールドが問題であるかを判断する方法を説明します。