ストラテジ推奨項目 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック (UG906)

Document ID
UG906
Release Date
2022-05-04
Version
2022.1 日本語

一般的なタイミング クロージャ ストラテジには、多くのインプリメンテーション ストラテジを実行し、ラボで使用する最適なストラテジを選択することが含まれます。ML ストラテジはこれに代わるもので、同様の QoR の改善を達成するのに実行する必要があるのは 3 つのストラテジのみです。機械学習を使用して、配線後のデザインからの機能を調べ、同じデザインでのさまざまなストラテジのパフォーマンスを予測します。最適な 3 つのストラテジが report_qor_suggestions (および write_qor_suggestions) で生成される RQS ファイルにキャプチャされ、その後の実行に適用できます。その結果、必要なサーバー電力が大幅に削減されます。

インプリメンテーション コマンドで指示子を RQS に設定すると、コマンドで指示子およびほかのツール コマンド オプションに対して RQS ファイルが参照されます。次の図に、このフローを示します。

図 1. ストラテジ推奨項目フロー

このフローには 4 つの主なポイントがあります。

  1. report_qor_suggestions は、Default または Explore 指示子のいずれかを使用して生成された完全に配線済みのデザインで実行する必要があります。要件の詳細は、[ML Strategy Availability] の表 を参照してください。
  2. write_qor_suggestions -strategy_dir <dir> コマンドは、必要な RQS ファイルを指定したディレクトリに生成します。デフォルトでは、3 つのストラテジが生成されます。生成された各ストラテジに、すべての推奨項目オブジェクトとストラテジ推奨項目オブジェクトを含む 1 つの RQS ファイルがあります。write_qor_suggestions -file <fn>.rqs を使用して指定した RQS ファイルは、同じ情報が各ストラテジの RQS ファイルにも含まれているので、削除できます。
    注記: より多くのストラテジを生成するには、次のコマンドを使用して数を増やします。
    report_qor_suggestions -max_strategies <n>
  3. 生成された RQS ファイルを新しいインプリメンテーション run に読み込む必要があります。
  4. RQS 指示子を設定する必要があり、そのスクリプトに opt_designplace_designphys_opt_design および route_design への呼び出しを含める必要があります。