リソース使用率レポート - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック (UG906)

Document ID
UG906
Release Date
2022-05-04
Version
2022.1 日本語

リソース使用量レポート (Utilization Report) を使用すると、階層、ユーザー定義 Pblock、または SLR レベルでさまざまなリソースの使用量を解析できます。リソース使用量レポートは、フローのさまざまな段階で report_utilization コマンドを使用して生成できます。この Tcl コマンドの詳細は、 『Vivado Design Suite Tcl コマンド リファレンス ガイド』 (UG835) を参照してください。次のレポートは、UltraScale および UltraScale+ ファミリのものです。このレポートには、run に使用されたデバイスと、次の使用量が表示されます (各カテゴリに追加の項目が表示される場合もあり)。

  • スライス ロジック
    • LUT
    • MuxFx
    • レジスタ
    • スライス
    • LUT (メモリとして)
    • LUT とフリップフロップのペア
    • LUT (ロジックとして)
  • メモリ
    • ブロック RAM
    • FIFO
  • DSP
  • I/O リソース
  • クロック リソース
  • 特定のデバイス リソース。例:
    • STARTUPE2
    • XADC
  • 使用数順に並べられたプリミティブ タイプの数
  • ブラック ボックス
  • インスタンシエートされたネットリスト
  • SLR をまたぐパスの使用率

Tcl コンソールから実行する場合、-cells オプションを使用して特定の階層セルの使用量をレポートできます。Vivado IDE から実行する場合、この情報はインタラクティブな表に表示されます。

ロジック最適化コマンドでネットリストが変更されることがあるので、これらの値はフローの段階によって異なる場合があります。